MAVC-010000-000920

型号:MAVC-010000-000920

厂商:M/A-COM

批号:21+

封装:LGA

PDF下载
MAVCML0025 VCO, 420 MHz - 550 MHz
MAVCML0030 VCO, 1100 MHz - 2100 MHz
MAVCML0034 VCO, 4200 MHz - 4400 MHz
MAVCML0037 VCO, 4552 MHz - 5648 MHz
MAVCML0039 VCO, 5790 MHz - 5820 MHz
MAVCML0043 VCO, 10000 MHz - 11000 MHz
MAVCML0058 VCO, 5700 MHz - 5900 MHz
MAVCML0059 VCO, 400 MHz - 600 MHz
MAVR-000079-0287FT LF-UHF BAND, 54.1 pF, 12 V, SILICON, HYPERABRUPT VARIABLE CAPACITANCE DIODE
MAVR-000081-0287FT LF-UHF BAND, 10.1 pF, 12 V, SILICON, HYPERABRUPT VARIABLE CAPACITANCE DIODE
相关PDF
相关代理商
代理商
型号
数量
厂商
批号
封装
交易说明
询价
QQ
  • MAVC-010000-000920 优势
  •  
  • 19+
  • LGA
  • 80000
  • 原装现货价格优势 可开13%增值税发票 
  • 立即询价
  • MAVC-010000-000920
  • 10000
  • M/A-COM
  • 21+
  • LGA
  • 优势现货/可含税/原装正品 
  • 立即询价
  • MAVC-010000-000920
  • 66890
  • 原装M/A-COM
  • 22+
  • LGA
  • 授权代理直销,原厂原装现货,假一罚十,特价销售 
  • 立即询价
  • MAVC-010000-000920
  • 4900
  • MACOM
  • 14+
  • LGA
  • 保证100%原厂原装正品,价格优势假一赔十,可开票 
  • 立即询价
  • MAVC-010000-000920
  •  
  • LGA
  • 最新
  • 6800
  • 十年专业专注,优势渠道商正品保证假一罚十公司现货 
  • 立即询价
  • MAVC-010000-000920
  • 383
  • M/A-COM
  • 14+
  • LGA
  • 高价现金回收全系列IC 
  • 立即询价
  • MAVC-010000-000920
  • 43465
  • 原装M/A-COM
  • 1825+
  • LGA
  • 全新原装正品现货 
  • 立即询价
  • MAVC-010000-000920
  • 383
  •  
  • 新年份
  • LGA
  • 十年芯程,只做原装 
  • 立即询价
  • MAVC-010000-000920
  • 18600
  • M/A-COM
  • 19+
  • LGA
  • 代理现货 可提供技术支持 
  • 立即询价
  • MAVC-010000-000920
  • 11500
  • M/A-COM
  • 19+
  • LGA
  • 原装现货库存,接受订货,可开13%增值税发票 
  • 立即询价
  • MAVC-010000-000920
  • 5690
  • 原装M/A-C
  • 19+
  • LGA
  • 原装进口,支持技术服务,提供样品 
  • 立即询价
  • MAVC-010000-000920
  • 5690
  • 原装M/A-C
  • 17+
  • LGA
  • 原装进口零成本有接受价格就出 
  • 立即询价
  • MAVC-010000-000920
  • 10680
  • 原装M/A-COM
  • 2021+
  • LGA
  • 全新原装现货,全网最低,假一罚十 
  • 立即询价
  • MAVC-010000-000920
  • 86720
  • M/A-COM
  • 22+
  • LGA
  • 代理授权原装正品价格最实惠,假一赔百 
  • 立即询价
  • MAVC-010000-000920
  • 16100
  • M/A-COM
  • 1809+
  • LGA
  • ★MACOM一级分销★原厂原装现货★免费技术支持★.. 
  • 立即询价
  • MAVC-010000-000920
  • 166300
  • 原装M/A-COM
  • 18+
  • LGA
  • 只做原装假一罚十 
  • 立即询价